CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - triangular wave verilog

搜索资源列表

  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. SPWM

    1下载:
  2. VHDL采用自然采样法写的SPWM,里面有正弦表,可以通过外接输入正弦波和三角波的频率。 -VHDL using written natural sampling SPWM, there are sine table, you can enter through the external sinusoidal and triangular wave frequency.
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:7312
    • 提供者:zyb
  1. DDS_FINAL

    0下载:
  2. My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wave and saw wave with different fre
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:436975
    • 提供者:Raju Kumar
  1. asias_dds

    0下载:
  2. 一个简易的信号源,具有多种波形发生功能还有扫频,调制,频率计等相关功能-My project is on Direct Digital Synthesiser using Verilog HDL.This project is doing by me on july 2009 in summer training at NIT Kurukshetra, India. This DDS system generate the square wave, Triangular wave,Sine wa
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-03-27
    • 文件大小:31857
    • 提供者:david
  1. DAC0832_control

    0下载:
  2. 用verilog HDL编程实现的基于DAC0832的三角波信号,可借鉴编程实现DAC0832芯片控制-Programming with verilog HDL DAC0832-based triangular wave signal, we may learn programming DAC0832 chip control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:637
    • 提供者:hemy
  1. waveform

    0下载:
  2. Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274171
    • 提供者:saln
  1. test

    0下载:
  2. 利用verilog 寫 三角波的產生 利用verilog 寫 三角波的產生-Written using the triangular wave generated verilog verilog to write using the triangular wave generated by the triangular wave generation write verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:22194
    • 提供者:zih siang
  1. sincount

    0下载:
  2. 用verilog语言开发的,ise产生正弦波的工程文件-Ise generate the triangular wave file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20614009
    • 提供者:赵海权
  1. zhengxiansanjiao

    0下载:
  2. 用Verilog实现正弦波和三角波,验证过的,功能正确-Sine wave and triangular wave with Verilog and verified correct function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:157639
    • 提供者:高红佳
  1. cordic

    0下载:
  2. cordic代码 verilog语言 产生三角波 适用在ISE上面-cordic code verilog language triangular wave generated above apply at ISE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1739
    • 提供者:林一羽
  1. Triangle

    0下载:
  2. 在ISE环境下,使用Verilog语言,编写三角波程序,运用ModelSim进行仿真。-In the ISE environment, use Verilog language, written in a triangular wave program, using ModelSim simulation.
  3. 所属分类:MPI

    • 发布日期:2017-05-03
    • 文件大小:808351
    • 提供者:莉亚USI
  1. 三角函数的Verilog HDL语言实现

    0下载:
  2. 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, rea
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:148480
    • 提供者:所罗门
搜珍网 www.dssz.com